Subversion Repositories oidplus

Rev

Rev 1050 | Rev 1116 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | RSS feed

  1. <?php
  2.  
  3. /*
  4.  * OIDplus 2.0
  5.  * Copyright 2019 - 2023 Daniel Marschall, ViaThinkSoft
  6.  *
  7.  * Licensed under the Apache License, Version 2.0 (the "License");
  8.  * you may not use this file except in compliance with the License.
  9.  * You may obtain a copy of the License at
  10.  *
  11.  *     http://www.apache.org/licenses/LICENSE-2.0
  12.  *
  13.  * Unless required by applicable law or agreed to in writing, software
  14.  * distributed under the License is distributed on an "AS IS" BASIS,
  15.  * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
  16.  * See the License for the specific language governing permissions and
  17.  * limitations under the License.
  18.  */
  19.  
  20. namespace ViaThinkSoft\OIDplus;
  21.  
  22. // phpcs:disable PSR1.Files.SideEffects
  23. \defined('INSIDE_OIDPLUS') or die;
  24. // phpcs:enable PSR1.Files.SideEffects
  25.  
  26. class OIDplusAltId extends OIDplusBaseClass {
  27.  
  28.         private $ns;
  29.         private $id;
  30.         private $desc;
  31.         private $suffix;
  32.  
  33.         function __construct($ns, $id, $desc, $suffix='') {
  34.                 $this->ns = $ns;
  35.                 $this->id = $id;
  36.                 $this->desc = $desc;
  37.                 $this->suffix = $suffix;
  38.         }
  39.  
  40.         function getNamespace() {
  41.                 return $this->ns;
  42.         }
  43.  
  44.         function getId() {
  45.                 return $this->id;
  46.         }
  47.  
  48.         function getDescription() {
  49.                 return $this->desc;
  50.         }
  51.  
  52.         function getSuffix() {
  53.                 return $this->suffix;
  54.         }
  55.  
  56. }
  57.