Subversion Repositories oidplus

Rev

Rev 919 | Rev 962 | Go to most recent revision | Details | Compare with Previous | Last modification | View Log | RSS feed

Rev Author Line No. Line
919 daniel-mar 1
<?php
2
 
3
/*
4
 * OIDplus 2.0
5
 * Copyright 2019 - 2022 Daniel Marschall, ViaThinkSoft
6
 *
7
 * Licensed under the Apache License, Version 2.0 (the "License");
8
 * you may not use this file except in compliance with the License.
9
 * You may obtain a copy of the License at
10
 *
11
 *     http://www.apache.org/licenses/LICENSE-2.0
12
 *
13
 * Unless required by applicable law or agreed to in writing, software
14
 * distributed under the License is distributed on an "AS IS" BASIS,
15
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
 * See the License for the specific language governing permissions and
17
 * limitations under the License.
18
 */
19
 
20
if (!defined('INSIDE_OIDPLUS')) die();
21
 
22
class OIDplusObjectTypePluginAid extends OIDplusObjectTypePlugin {
23
 
24
        public static function getObjectTypeClassName() {
25
                return OIDplusAid::class;
26
        }
27
 
28
        public static function prefilterQuery($static_node_id, $throw_exception) {
29
                if (str_starts_with($static_node_id,'aid:')) {
30
                        $static_node_id = str_replace(' ', '', $static_node_id);
951 daniel-mar 31
 
32
                        $tmp = explode(':',$static_node_id,2);
33
                        if (isset($tmp[1])) $tmp[1] = strtoupper($tmp[1]);
34
                        $static_node_id = implode(':',$tmp);
919 daniel-mar 35
                }
36
                return $static_node_id;
37
        }
38
 
39
}